CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog 工程

搜索资源列表

  1. Verilog

    0下载:
  2. VHDL教程,该教程通俗易懂.适合初学者及工程技术人员查询
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4959772
    • 提供者:hyx
  1. mico8_demo工程

    0下载:
  2. mico8驱动led工程源码
  3. 所属分类:VHDL编程

    • 发布日期:2010-10-08
    • 文件大小:1873760
    • 提供者:apipi_2010
  1. 串口verilog源代码

    1下载:
  2. 串口UARTverilog源代码。包括控制模块、收、发模块。程序全,功能简洁,包含Q2工程
  3. 所属分类:VHDL编程

    • 发布日期:2011-03-14
    • 文件大小:64207
    • 提供者:huangjiajun1213
  1. 用verilog编写的液晶显示程序

    0下载:
  2. 用verilog编写的液晶显示程序,已调试通过。 1、 本工程主要是设计一个LCD的控制模块,然后在LCD上显示想要显示的数据。  2、 通过JTAG口把LCD12864.sof下载到FPGA上,则LCD就会显示出要显示的数据。-Written liquid crystal display with verilog program has been through debugging. 1, this project is to design a LCD control module, a
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-09
    • 文件大小:1089334
    • 提供者:merlin
  1. 用verilog写的对ad0809的控制

    1下载:
  2. 用verilog写的对ad0809的控制,完整工程,希望对大家能有帮助,Written using Verilog for ad0809 control, complete works, in the hope that we can help
  3. 所属分类:VHDL编程

    • 发布日期:2016-08-25
    • 文件大小:4339486
    • 提供者:侯训平
  1. FPGA_examples

    0下载:
  2. FPGA工程例子.verilog HDL语言编写;-FPGA project examples. Verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3441851
    • 提供者:严成
  1. Core8051

    1下载:
  2. VERILOG编写的Core8051实验例程,包括整个工程,周立功公司提供-VERILOG Core8051 written test routines, including the entire project, provided ZLG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-19
    • 文件大小:9108480
    • 提供者:xuzunlei
  1. PWM_moto_ctrl

    0下载:
  2. verilog 代码实现 直流电机PWM控制 内有整个完整工程 和modelsim仿真文件-verilog code for PWM DC motor control to achieve within the whole integrity of engineering and modelsim simulation files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:949304
    • 提供者:文一左
  1. sobel

    1下载:
  2. Verilog代码实现Sobel算子,包括整个工程,仿真也有。。仿真表明该程序能实现Sobel 算子硬件实现-Verilog,Sobel Operator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-06-16
    • 文件大小:5014528
    • 提供者:蔡浩聪
  1. sram

    2下载:
  2. SRAM控制器,含整个工程 vSRAM控制器,含整个工程 SRAM控制器,含整个工程-SRAM SRAMSRAMSRAMSRAMSRAMSRAMSRAMSRAM
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:236192
    • 提供者:leee
  1. verilog_cookbook

    1下载:
  2. 本電子檔為 verilog cookbook,包含了通訊,影像,DSP等重要常用之verilog編碼,可作為工程師與初學者的參考手冊-The electronic file for verilog cookbook, includes communications, imaging, DSP and other important commonly used Verilog coding, can be used as engineer with the reference manual for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-10
    • 文件大小:2848188
    • 提供者:siman,Chen
  1. spi

    1下载:
  2. SPI总线接口的verilog源码,包含仿真模块modelsim 和 quartus 工程。本人测试通过。-SPI bus interface in verilog source code, including the simulation module modelsim and quartus project. I test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:291062
    • 提供者:阿虎
  1. SDRAM

    1下载:
  2. SDRAM控制器,Verilog代码编写,让你快速了解SDRAM的读写时序。包含Modelsim仿真工程和学习笔记-SDRAM controller, Verilog coding, allows you to quickly understand the SDRAM read and write timing. Modelsim simulation engineering and contains study notes
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-19
    • 文件大小:3030582
    • 提供者:jianzi
  1. Verilog-rumen

    0下载:
  2. FPGA学习上机资料,包括详细的学习文档,代码资料,工程文件,是新手入门的好资料。文件中包含了3个PDF文件,详细讲述了开发环境,入门的各种注意点-FPGA-on learning information, including a detailed study and documentation, code data, engineering documents, is a novice a good data entry.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:699181
    • 提供者:老五
  1. 8051core-Verilog

    0下载:
  2. 利用VerilogHDL语言,编程实现8051单片机的功能,在FPGA的工程中有广泛的应用-Use VerilogHDL language programming 8051 microcontroller functions in FPGA projects in a wide range of applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:52106
    • 提供者:luosheng
  1. verilog-PS2-Keyboard

    0下载:
  2. veirlog编写的PS2键盘通讯程序, 并有PS2接口的相关说明, Quartus II 8.1工程文件-veirlog written communication procedures PS2 keyboard, and a PS2 interface instructions, Quartus II 8.1 project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:511555
    • 提供者:Joseph
  1. verilog-vga

    1下载:
  2. Verilgo编写的VGA显示接口示例程序, 在显示器上显示矩形彩条, 包含Quartus II 8.1工程文件及VGA的相当资料(PDF及WORD文档)-Verilgo prepared VGA display interface sample program, the color of the rectangle on the display, including the Quartus II 8.1 project file and VGA considerable data (PDF a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1771292
    • 提供者:Joseph
  1. USB

    0下载:
  2. USB控制器的VERILOG工程文件,工程为ISE的,可以编译通过,压箱底的东西了-USB controller VERILOG project file, works for the ISE, you can compile, pressure bottom of things
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:157130
    • 提供者:mike
  1. usb01

    2下载:
  2. ft2232的VERILOG工程应用实例。基于altera ep2c8芯片。能够到20MB/S的传输速度。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-11
    • 文件大小:4224000
    • 提供者:cxl
  1. 扩频通信的Verilog工程

    5下载:
  2. 扩频通信的Verilog工程,对从事无线通信的工程人员有参考作用。(Spread spectrum communication Verilog project, engaged in wireless communications engineering staff reference.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:53248
    • 提供者:王贤
« 12 3 4 5 6 7 8 9 10 ... 21 »
搜珍网 www.dssz.com